5秒后页面跳转
PSD813F1V-12UIT PDF预览

PSD813F1V-12UIT

更新时间: 2024-10-01 06:05:31
品牌 Logo 应用领域
意法半导体 - STMICROELECTRONICS /
页数 文件大小 规格书
110页 1681K
描述
Flash In-System Programmable (ISP) Peripherals for 8-bit MCUs, 3.3V

PSD813F1V-12UIT 数据手册

 浏览型号PSD813F1V-12UIT的Datasheet PDF文件第2页浏览型号PSD813F1V-12UIT的Datasheet PDF文件第3页浏览型号PSD813F1V-12UIT的Datasheet PDF文件第4页浏览型号PSD813F1V-12UIT的Datasheet PDF文件第5页浏览型号PSD813F1V-12UIT的Datasheet PDF文件第6页浏览型号PSD813F1V-12UIT的Datasheet PDF文件第7页 
PSD813F1V  
Flash In-System Programmable (ISP)  
Peripherals for 8-bit MCUs, 3.3V  
FEATURES SUMMARY  
DUAL BANK FLASH MEMORIES  
Figure 1. Packages  
1 Mbit of Primary Flash Memory (8  
Uniform Sectors)  
256 Kbit Secondary EEPROM (4 Uniform  
Sectors)  
Concurrent operation: read from one  
memory while erasing and writing the  
other  
PQFP52 (M)  
16 Kbit SRAM (BATTERY-BACKED)  
PLD WITH MACROCELLS  
Over 3,000 Gates Of PLD: DPLD and  
CPLD  
DPLD - User-defined Internal chip-select  
decoding  
CPLD with 16 Output Macrocells (OMCs)  
and 24 Input Macrocells (IMCs)  
PLCC52 (J)  
27 RECONFIGURABLE I/Os  
27 individually configurable I/O port pins  
that can be used for the following  
functions:  
MCU I/Os;  
PLD I/Os;  
TQFQ64 (U)  
Latched MCU address output; and  
Special function I/Os.  
Note: 16 of the I/O ports may be  
configured as open-drain outputs.  
ENHANCED JTAG SERIAL PORT  
HIGH ENDURANCE:  
100,000 Erase/WRITE Cycles of Flash  
Memory  
Built-in JTAG-compliant serial port allows  
full-chip In-System Programmability (ISP)  
Efficient manufacturing allows for easy  
product testing and programming  
10,000 Erase/WRITE Cycles of EEPROM  
1,000 Erase/WRITE Cycles of PLD  
Data Retention: 15-year minimum at 90°C  
(for Main Flash, Boot, PLD and  
Configuration bits).  
PAGE REGISTER  
Internal page register that can be used to  
expand the microcontroller address space  
by a factor of 256.  
SINGLE SUPPLY VOLTAGE:  
3.3V±10% for PSD813F1V  
STANDBY CURRENT AS LOW AS 50µA  
PROGRAMMABLE POWER MANAGEMENT  
June 2004  
1/110  

与PSD813F1V-12UIT相关器件

型号 品牌 获取价格 描述 数据表
PSD813F1V12UT STMICROELECTRONICS

获取价格

PIA-GENERAL PURPOSE, PQFP64, ROHS COMPLIANT, TQFP-64
PSD813F1V-12UT STMICROELECTRONICS

获取价格

Flash In-System Programmable (ISP) Peripherals for 8-bit MCUs, 3.3V
PSD813F1V-15J STMICROELECTRONICS

获取价格

PSD813F1V-15J, PLCC-52
PSD813F1V-15JI STMICROELECTRONICS

获取价格

IC,FIELD PGM PERIPH,CMOS,LDCC,52PIN,PLASTIC
PSD813F1V-15JIT STMICROELECTRONICS

获取价格

IC,FIELD PGM PERIPH,CMOS,LDCC,52PIN,PLASTIC
PSD813F1V-15M STMICROELECTRONICS

获取价格

IC,FIELD PGM PERIPH,CMOS,QFP,52PIN,PLASTIC
PSD813F1V-15MI STMICROELECTRONICS

获取价格

IC,FIELD PGM PERIPH,CMOS,QFP,52PIN,PLASTIC
PSD813F1V-15MT STMICROELECTRONICS

获取价格

IC,FIELD PGM PERIPH,CMOS,QFP,52PIN,PLASTIC
PSD813F1V-15U STMICROELECTRONICS

获取价格

PSD813F1V-15U, TQFP-64
PSD813F1V-15UI STMICROELECTRONICS

获取价格

IC,FIELD PGM PERIPH,CMOS,QFP,64PIN,PLASTIC