5秒后页面跳转
CY39030V208-233NTC PDF预览

CY39030V208-233NTC

更新时间: 2024-01-26 19:18:03
品牌 Logo 应用领域
赛普拉斯 - CYPRESS 输入元件可编程逻辑
页数 文件大小 规格书
7页 94K
描述
Loadable PLD, 7.2ns, 512-Cell, CMOS, PQFP208, 28 X 28 MM, 0.50 MM PITCH, PLASTIC, EQFP-208

CY39030V208-233NTC 技术参数

是否Rohs认证: 不符合生命周期:Obsolete
零件包装代码:QFP包装说明:28 X 28 MM, 0.50 MM PITCH, PLASTIC, EQFP-208
针数:208Reach Compliance Code:not_compliant
HTS代码:8542.39.00.01风险等级:5.87
其他特性:ALSO OPERATES WITH 3.3V SUPPLY VOLTAGE系统内可编程:YES
JESD-30 代码:S-PQFP-G208JESD-609代码:e0
JTAG BST:YES长度:28 mm
湿度敏感等级:3专用输入次数:
I/O 线路数量:136宏单元数:512
端子数量:208最高工作温度:70 °C
最低工作温度:组织:0 DEDICATED INPUTS, 136 I/O
输出函数:MACROCELL封装主体材料:PLASTIC/EPOXY
封装代码:FQFP封装等效代码:QFP208,1.2SQ,20
封装形状:SQUARE封装形式:FLATPACK, FINE PITCH
峰值回流温度(摄氏度):225电源:1.5/3.3,2.5/3.3 V
可编程逻辑类型:LOADABLE PLD传播延迟:7.2 ns
认证状态:Not Qualified座面最大高度:3.77 mm
子类别:Programmable Logic Devices最大供电电压:2.7 V
最小供电电压:2.3 V标称供电电压:2.5 V
表面贴装:YES技术:CMOS
温度等级:COMMERCIAL端子面层:TIN LEAD
端子形式:GULL WING端子节距:0.5 mm
端子位置:QUAD处于峰值回流温度下的最长时间:30
宽度:28 mmBase Number Matches:1

CY39030V208-233NTC 数据手册

 浏览型号CY39030V208-233NTC的Datasheet PDF文件第2页浏览型号CY39030V208-233NTC的Datasheet PDF文件第3页浏览型号CY39030V208-233NTC的Datasheet PDF文件第4页浏览型号CY39030V208-233NTC的Datasheet PDF文件第5页浏览型号CY39030V208-233NTC的Datasheet PDF文件第6页浏览型号CY39030V208-233NTC的Datasheet PDF文件第7页 
Delta39K™ PLL and Clock Tree  
The Delta39K PLL and the global clock tree provide design-  
Introduction  
ers with functionality that can be configured to meet various  
design requirements. This functionality includes clock phase  
adjustment, clock multiplication and division, Spread Aware™  
feature, lock detection, off-chip clocking and buffering, and  
JTAG support.  
The purpose of this application note is to provide information  
and instruction in utilizing the functionality of the Delta39K™  
Phase-Locked Loop (PLL) and associated clock tree.  
Delta39K is a family of high-density Complex Programmable  
Logic Devices (CPLDs) containing on-chip components such  
as Single-Port RAM, advanced Dual-Port RAM, and a PLL.  
The Delta39K PLL can be used in any system requiring clock  
frequency or clock phase manipulation.  
Clock Phase Adjustment  
Designers may use the PLL and clock tree to re-position the  
edges of the PLL-generated clock in order to shift perfor-  
mance toward either improved set-up time or improved  
clock-to-out time. The clock's phase, or the position of its edg-  
es relative to the PLL input, may be adjusted in either of two  
ways: Skewing the clock moves its phase backward on the  
time axis, while de-skewing the clock moves its phase fore-  
word on the time axis.  
For Delta39K, programming is defined as the loading of a  
user’s design into the on-chip FLASH device internal to the  
Delta39K package. Configuration, on the other hand, is the  
loading of a user’s design into the volatile Delta39K die.  
Overview of PLL & Clock Tree  
Within each 3.3V/2.5V Delta39K device, a single on-chip PLL  
resides as part of a larger clocking scheme. Four local dedi-  
cated clock input pins, referred to here as GCLK[3:0], provide  
direct inputs to this clock tree. GCLK[0] and GCLK[1] may  
also be used as an input port and external feedback port,  
respectively to the PLL. Within the clock tree are four global  
clocks, referred to here as INTCLK[3:0], which are accessible  
to any macrocell, I/O cell, or memory block. GCLK[3:0] and  
the outputs of the PLL feed a set of multiplexors which source  
INTCLK[3:0]. Figure 1 contains a block diagram of the clock  
tree and PLL.  
There are eight options for skewing the incoming clock. The  
clock can be skewed so that the phase is delayed 0°, 45°, 90°,  
135°, 180°, 225°, 270°, or 315°. A 45° phase shift increment  
is equal to a delay of 1/8th of the clock's period length. Note  
that adding delay to the incoming clock has the effect of in-  
creasing effective clock-to-out time while decreasing the ef-  
fective setup time requirements. Refer to Figure 2 for an ex-  
ample.  
Time when data  
Time when new data  
must be ready  
reaches output pin  
EXTERNAL  
Off-chip clock  
pll_in  
tP  
tS  
tCO  
CLOCK_TREE  
Delay  
Any I/O Cell  
Any Macrocell  
Any Memory  
4
4
4
DIRECT  
Smaller  
Larger  
effective tS  
effective tCO  
Feedback  
ext_fdbk  
[1]  
(clock to out time)  
(setup time)  
I
x
(1,2,4,8)  
N
T
pll_in  
gclk0  
Source  
Divide  
Divide  
Divide  
Divide  
tSK  
tSK  
tCO  
C
L
gclk0-3  
8
8
0
[0]  
2
K
45  
90  
[3:0]  
gclk1  
gclk2  
gclk3  
tS  
135  
180  
225  
270  
315  
Lock  
4
8
Figure 2. Skewed Clock and Adjusted tS and tCO  
.
G
C
8
8
L
K
[0:3]  
4
lock_detect  
Figure 1. Delta39K Phase Locked Loop and Clock Tree.  
Cypress Semiconductor Corporation  
3901 North First Street  
San Jose  
CA 95134  
408-943-2600  
July 6, 2001  
 
 

与CY39030V208-233NTC相关器件

型号 品牌 获取价格 描述 数据表
CY39030V208-83NTC CYPRESS

获取价格

Loadable PLD, 15ns, 512-Cell, CMOS, PQFP208, 28 X 28 MM, 0.50 MM PITCH, PLASTIC, EQFP-208
CY39030V208-83NTXI CYPRESS

获取价格

Loadable PLD, 15ns, CMOS, PQFP208, 28 X 28 MM, 0.50 MM PITCH, LEAD FREE, PLASTIC, EQFP-208
CY39030V256-83BBI CYPRESS

获取价格

Loadable PLD, 15ns, 512-Cell, CMOS, PBGA256, 17 X 17 MM, 1 MM PITCH, FBGA-256
CY39030V484-125BBC CYPRESS

获取价格

CPLDs at FPGA Densities
CY39030V484-125BBI CYPRESS

获取价格

CPLDs at FPGA Densities
CY39030Z144-125BBC CYPRESS

获取价格

Loadable PLD, 10ns, CMOS, PBGA144, 1 MM PITCH, FBGA-144
CY39030Z144-83BBC CYPRESS

获取价格

Loadable PLD, 15ns, CMOS, PBGA144, 1 MM PITCH, FBGA-144
CY39030Z144-83BBI CYPRESS

获取价格

Loadable PLD, 15ns, CMOS, PBGA144, 1 MM PITCH, FBGA-144
CY39030Z208-125BBC CYPRESS

获取价格

CPLDs at FPGA Densities
CY39030Z208-125BBI CYPRESS

获取价格

CPLDs at FPGA Densities